[[labs.beatcraft.com]] ~

#contents

* Synthesijer [#a58f542c]
[[Synthesijer>http://synthesijer.sourceforge.net/]] は [[JavaRock>http://javarock.sourceforge.net/]] の後継プロジェクトで、~
Java のコードから VHDL、Verilog HDL コードを生成する高位合成言語です。 ~
~
Synthesijer を使用し FPGA 上で動作させるまでの手順などについて記載します。~

** DE0-Nano [#c1db9e1f]
Terasic 社製 FPGA ボード DE0-Nano 上で Synthesijer の各サンプルを動作させるまでのセットアップ手順、 ~
Quick Start とサンプルの動作確認手順について記載します。~
 
*** セットアップ手順 [#tdf352c3]
Synthesijer のセットアップ手順について記載します。~
Windows PC 上に Virtual Machine を作成し作業を行います。 ~
詳細については[[DE0-Nano/Synthesijer]]をご覧ください。~

*** Quick Start [#e3080cc0]
[[DE0-Nano/Synthesijer]]で作成した環境上で synthesijer_samples の
quickstart を DE0-Nano 上で動作させるまでの手順について記載します。
詳細については[[DE0-Nano/Synthesijer_QuickStart]]をご覧ください。~

*** Samples [#bf862a0f]
[[DE0-Nano/Synthesijer]]で作成した環境上で synthesijer_samples を~
DE0-Nano 上で動作させるまでの手順について記載します。~
~
- LED ~
synthesijer_samples の led については~
[[DE0-Nano/Synthesijer_Samples_LED]] をご覧ください。~

- Serial_Echo(ToUpper) ~
synthesijer_samples の serial_echo (ToUpper) については~
[[DE0-Nano/Synthesijer_Samples_Serial1]]をご覧ください。~

- Serial_Echo(EchoTest) ~
synthesijer_samples の serial_echo (EchoTest) については~
[[DE0-Nano/Synthesijer_Samples_Serial2]]をご覧ください。~

* 更新履歴 [#led93336]
2014/09/03 初稿公開 ~

RIGHT:syariten


BC::labsへの質問は、bc9-dev @ googlegroups.com までお願い致します。
トップ   新規 一覧 単語検索 最終更新   最終更新のRSS