[[DE0-Nano/Synthesijer_QuickStart]]

* DE0-Nano/Synthesijer_Samples_LED [#qb4fa3c4]
This article explains how to compile a sample program, led, which is included in sythesijer_samples on DE0-Nano/Synthesijer. This shows how to write the build image, and execute it on DE0-Nano.

This article basically uses the same sample program as the article of DE0-Nano/Synthesijer, and the sample program makes an LDE on DE0-Nano blink.
In the led directory there is the make file. Then, build the file with make command.
<Code>

Create a project file on DE0-Nano System Builder. To be consist with the name of Synthesijer's sample, the name of the project file is led.

Move the created project file to Ubuntu. Change the name of led_top.v to something else.
On Ubuntu, edit led_top.qsf for matching led_top.vhd. led_top.vhd is a part of led, which has been built.

As exstick.ucf is defined, the pin-allocation is not specified for reset. Also, led is built, there are led_top.vhd, led.vhd, and counter.vhd are created. Copy these three files and placed them in the same directory.

<Code>
<Code>
<Code>
Then, the rest of steps is identical to what describes in DE0-Nano/Synthesijer_QuickStart.
Start up Quartus. Then, select Top.qpf from Open Project, which is part of File at the tool bar.
<Code $quartus>

Click Start Complication, which is part of Processing.
Then, compile the files inside Project, which is created.
Caution: Tons of warring dialogs shows up, please ignore them. These are not significant.

Click Pin Planner, which is part of Assignments, check that Top.vhd has been edited.

Click Programmer, which is a part of Tools. The function of Programmer is to write the generated image to DE0-Nano. This writing is called here as programming. And, select a device, which the image will be written to. For this article, please choose USB-Buster, which we are specified for this article.

If No Hardware appears, click Hardware Settings and select USB-Blaster, which is located under Currently selected hardware.

Caution: If there is no USB-Blaster under Currently selected hardware, please check the udev rule file, which is added earlier.

As USB-Blaster is selected, click Start, which is located inside Programmer. Then, the image is transferred to DE0-Nano. 

As Progress reaches at 100% (Successful), LED starts blinking.

This is the end of operation checking of LED sample programing.


Front page   New List of pages Search Recent changes   RSS of recent changes