DE0-Nano/Synthesijer_Samples_LED
をテンプレートにして作成
[
トップ
] [
新規
|
一覧
|
単語検索
|
最終更新
]
開始行:
[[Synthesijer]] ~
[[DE0-Nano/Synthesijer]] ~
#contents
* DE0-Nano/Synthesijer_Samples_LED [#yf3f458c]
本稿では[[DE0-Nano/Synthesijer]]で作成した環境上で synthe...
led を DE0-Nano 上で動作させるまでの手順について記載しま...
~
[[DE0-Nano/Synthesijer_QuickStart]] と同じく LED が点滅す...
led ディレクトリ内に Makefile がありますので make コマン...
$ cd ~/synthesijer_samples/sample/led/
$ make
java -cp /home/beat/synthesijer-20140818.jar synthesijer...
Output VHDL: counter.vhd
Output VHDL: led.vhd
Output Verilog HDL: counter.v
Output Verilog HDL: led.v
javac -cp /home/beat/synthesijer-20140818.jar:. led_top....
java -cp /home/beat/synthesijer-20140818.jar:. led_top
DE0-Nano System Builder でプロジェクトファイルを作成しま...
Synthesijer の led に合わせてプロジェクト名は led_top に...
~
作成したプロジェクトを Ubuntu に移動します。 ~
その際プロジェクトファイル内にある led_top.v はリネームし...
~
Ubuntu 上で led_top.qsf をビルドした led の led_top.vhd ...
ここでは、exstick.ucf にあるように reset の PIN は配置し...
また上記ビルドした led_top.vhd、led.vhd、counter.vhd をコ...
$ vi exstick.ucf
NET reset LOC = A12 | IOSTANDARD = LVCMOS33; # ...
NET reset TIG;
NET clk LOC = N7 | IOSTANDARD = LVCMOS33; ...
NET clk TNM_NET = clk;
TIMESPEC ts_clk = PERIOD clk 25000 kHz;
NET q LOC = A2 | IOSTANDARD = LVCMOS33;
$ vi led_top.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity led_top is
port (
clk : in std_logic;
reset : in std_logic;
q : out std_logic
);
end led_top;
...<略>
$ vi led_top.qsf
...<略>
#=======================================================...
# CLOCK
#=======================================================...
set_location_assignment PIN_R8 -to clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" ...
# set_location_assignment PIN_R8 -to CLOCK_50
# set_instance_assignment -name IO_STANDARD "3.3-V LVTTL...
#=======================================================...
# LED
#=======================================================...
set_location_assignment PIN_A15 -to q
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" ...
#set_location_assignment PIN_A15 -to LED[0]
#set_instance_assignment -name IO_STANDARD "3.3-V LVTTL"...
...<略>
#=======================================================...
# End of pin assignments by Terasic System Builder^M
#=======================================================...
^M
set_global_assignment -name VHDL_FILE led.vhd^M
set_global_assignment -name VHDL_FILE counter.vhd^M
set_global_assignment -name VHDL_FILE led_top.vhd^M
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "...
あとは上記 [[DE0-Nano/Synthesijer_QuickStart]] と同じ手順...
~
以下は led サンプルをDE0-Nano で動作させた際の動画です。~
#youtube(ghHBbJ3bLk8); ~
* 更新履歴 [#x97495b5]
2014/09/03 文言修正 ~
2014/09/03 構成変更のため本ページに移動 ~
2014/08/27 初稿掲載 ~
RIGHT:syariten
終了行:
[[Synthesijer]] ~
[[DE0-Nano/Synthesijer]] ~
#contents
* DE0-Nano/Synthesijer_Samples_LED [#yf3f458c]
本稿では[[DE0-Nano/Synthesijer]]で作成した環境上で synthe...
led を DE0-Nano 上で動作させるまでの手順について記載しま...
~
[[DE0-Nano/Synthesijer_QuickStart]] と同じく LED が点滅す...
led ディレクトリ内に Makefile がありますので make コマン...
$ cd ~/synthesijer_samples/sample/led/
$ make
java -cp /home/beat/synthesijer-20140818.jar synthesijer...
Output VHDL: counter.vhd
Output VHDL: led.vhd
Output Verilog HDL: counter.v
Output Verilog HDL: led.v
javac -cp /home/beat/synthesijer-20140818.jar:. led_top....
java -cp /home/beat/synthesijer-20140818.jar:. led_top
DE0-Nano System Builder でプロジェクトファイルを作成しま...
Synthesijer の led に合わせてプロジェクト名は led_top に...
~
作成したプロジェクトを Ubuntu に移動します。 ~
その際プロジェクトファイル内にある led_top.v はリネームし...
~
Ubuntu 上で led_top.qsf をビルドした led の led_top.vhd ...
ここでは、exstick.ucf にあるように reset の PIN は配置し...
また上記ビルドした led_top.vhd、led.vhd、counter.vhd をコ...
$ vi exstick.ucf
NET reset LOC = A12 | IOSTANDARD = LVCMOS33; # ...
NET reset TIG;
NET clk LOC = N7 | IOSTANDARD = LVCMOS33; ...
NET clk TNM_NET = clk;
TIMESPEC ts_clk = PERIOD clk 25000 kHz;
NET q LOC = A2 | IOSTANDARD = LVCMOS33;
$ vi led_top.vhd
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity led_top is
port (
clk : in std_logic;
reset : in std_logic;
q : out std_logic
);
end led_top;
...<略>
$ vi led_top.qsf
...<略>
#=======================================================...
# CLOCK
#=======================================================...
set_location_assignment PIN_R8 -to clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" ...
# set_location_assignment PIN_R8 -to CLOCK_50
# set_instance_assignment -name IO_STANDARD "3.3-V LVTTL...
#=======================================================...
# LED
#=======================================================...
set_location_assignment PIN_A15 -to q
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" ...
#set_location_assignment PIN_A15 -to LED[0]
#set_instance_assignment -name IO_STANDARD "3.3-V LVTTL"...
...<略>
#=======================================================...
# End of pin assignments by Terasic System Builder^M
#=======================================================...
^M
set_global_assignment -name VHDL_FILE led.vhd^M
set_global_assignment -name VHDL_FILE counter.vhd^M
set_global_assignment -name VHDL_FILE led_top.vhd^M
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "...
あとは上記 [[DE0-Nano/Synthesijer_QuickStart]] と同じ手順...
~
以下は led サンプルをDE0-Nano で動作させた際の動画です。~
#youtube(ghHBbJ3bLk8); ~
* 更新履歴 [#x97495b5]
2014/09/03 文言修正 ~
2014/09/03 構成変更のため本ページに移動 ~
2014/08/27 初稿掲載 ~
RIGHT:syariten
ページ名:
BC::labsへの質問は、bc9-dev @ googlegroups.com までお願い致します。