#============================================================ # Build by Terasic System Builder #============================================================ set_global_assignment -name FAMILY "Cyclone IV E" set_global_assignment -name DEVICE EP4CE22F17C6 set_global_assignment -name TOP_LEVEL_ENTITY "test" set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.0 set_global_assignment -name LAST_QUARTUS_VERSION "12.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "12:12:30 MAY 19,2014" set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA set_global_assignment -name DEVICE_FILTER_PIN_COUNT 256 set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 6 set_global_assignment -name SDC_FILE test.SDC #============================================================ # CLOCK #============================================================ set_location_assignment PIN_R8 -to clk set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to clk #============================================================ # LED #============================================================ set_location_assignment PIN_A15 -to output_port_led set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to output_port_led set_location_assignment PIN_A13 -to LED[1] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1] set_location_assignment PIN_B13 -to LED[2] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2] set_location_assignment PIN_A11 -to LED[3] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3] set_location_assignment PIN_D1 -to LED[4] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4] set_location_assignment PIN_F3 -to LED[5] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5] set_location_assignment PIN_B1 -to LED[6] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6] set_location_assignment PIN_L3 -to LED[7] set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7] #============================================================ # End of pin assignments by Terasic System Builder #============================================================ set_location_assignment PIN_A11 -to reset set_instance_assignment -name IO_STANDARD 2.5V -to reset set_global_assignment -name VHDL_FILE ../led.vhd set_global_assignment -name VHDL_FILE ../counter.vhd set_global_assignment -name VHDL_FILE ../test.vhd set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V" set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top